Mylinking ™ נעץ צאַפּן ML-TAP-2401

24 * GE SFP, מאַקסימום 24 גבפּס

קורץ באַשרייַבונג:

Mylinking ™ נעטוואָרק טאַפּ פון ML-TAP-2401 האט אַרויף צו 24Gbps פּראַסעסינג קאַפּאַציטעט אָפּטיש ספּליטינג אָדער מירערינג שפּאַן אַקסעס.עס שטיצט מאַקסימום 24 * 1 גיגאַביט SFP סלאָץ, פלעקסאַבאַל שטיצט 1 ג איין / מולטי-מאָדע אָפּטיש מאַדזשולז און 1 גיגאַביט עלעקטריקאַל מאַדזשולז.שטיצט לאַן / וואַן מאָדע;שטיצט פּאַקאַט פֿילטרירונג און פאָרווערדינג באזירט אויף די מקור פּאָרט, קווינטופּאַל נאָרמאַל פּראָטאָקאָל פעלד, מקור / דעסטיניישאַן MAC אַדרעס, IP פראַגמענט, אַריבערפירן שיכטע פּאָרט קייט, עטהערנעט טיפּ פעלד, VLANID, MPLS פירמע און TCPFlag פאַרפעסטיקט פאָטאָ שטריך.


פּראָדוקט דעטאַל

פּראָדוקט טאַגס

1- אָווערוויעווס

  • א פול וויזשאַוואַל קאָנטראָל פון דאַטאַ אַקוואַזישאַן מיטל (24 * GE SFP סלאָץ)
  • א פול דאַטאַ סקעדזשולינג מאַנאַגעמענט מיטל (דופּלעקס רקס / טקס פּראַסעסינג)
  • א פול פאַר-פּראַסעסינג און שייַעך-פאַרשפּרייטונג מיטל (ביידירעקטיאָנאַל באַנדווידט 24Gbps)
  • געשטיצט זאַמלונג און אָפּטראָג פון לינק דאַטן פֿון פאַרשידענע לאָוקיישאַנז פון נעץ עלעמענטן
  • געשטיצט זאַמלונג און אָפּטראָג פון לינק דאַטן פֿון פאַרשידענע סוויטש רוטינג נאָודז
  • געשטיצט רוי פּאַקאַט געזאמלט, יידענאַפייד, אַנאַלייזד, סטאַטיסטיש סאַמערייזד און אנגעצייכנט
  • געשטיצט צו פאַרשטיין ירעלאַוואַנט אויבערשטן פּאַקידזשינג פון עטהערנעט פאַרקער פאָרווערדינג, געשטיצט אַלע מינים פון עטהערנעט פּאַקקאַגינג פּראָטאָקאָלס, און אויך 802.1q/q-in-q, IPX/SPX, MPLS, PPPO, ISL, GRE, PPTP עטק.
  • סופּפּאָרטעד רוי פּאַקאַט רעזולטאַט פֿאַר מאָניטאָרינג ויסריכט פון ביגדאַטאַ אַנאַליסיס, פּראָטאָקאָל אַנאַליסיס, סיגנאַלינג אַנאַליסיס, זיכערהייט אַנאַליסיס, ריזיקירן מאַנאַגעמענט און אנדערע פארלאנגט פאַרקער.
פּראָדוקט-דיסקריפּשאַן1

מל-טאַפּ-2401

2- סיסטעם בלאָק דיאַגראַמע

פּראָדוקט-דיסקריפּשאַן2

3- אַפּערייטינג פּרינציפּ

פּראָדוקט-דיסקריפּשאַן3

4- ינטעליגענט פאַרקער פּראַסעסינג אַבילאַטיז

פּראָדוקט באַשרייַבונג

אַסיק טשיפּ פּלוס TCAM קפּו
24Gbps ינטעליגענט פאַרקער פּראַסעסינג קייפּאַבילאַטיז

פּראָדוקט-דיסקריפּשאַן1

GE אַקוואַזישאַן
מאַקס 24 * GE פּאָרץ Rx / Tx דופּלעקס פּראַסעסינג, אַרויף צו 24 גבפּס פאַרקער דאַטאַ טראַנססעיווער אין דער זעלביקער צייט, פֿאַר נעץ דאַטאַ אַקוואַזישאַן, פּשוט פאַר-פּראַסעסינג

פּראָדוקט באַשרייַבונג (2)

דאַטאַ רעפּלאַקיישאַן
פּאַקאַט רעפּליקייטיד פון 1 פּאָרט צו קייפל N פּאָרץ, אָדער קייפל N פּאָרץ אַגגרעגאַטעד, און רעפּליקייטיד צו קייפל M פּאָרץ

פּראָדוקט באַשרייַבונג (3)

דאַטן אַגגרעגאַטיאָן
פּאַקאַט רעפּליקייטיד פון 1 פּאָרט צו קייפל N פּאָרץ, אָדער קייפל N פּאָרץ אַגגרעגאַטעד, און רעפּליקייטיד צו קייפל M פּאָרץ

פּראָדוקט באַשרייַבונג (4)

דאַטאַ פאַרשפּרייטונג
קלאַסאַפייד די ינקאַמינג מעטאַדאַטאַ אַקיעראַטלי און דיסקאַרדיד אָדער פאָרווערדיד פאַרשידענע דאַטן באַדינונגס צו קייפל צובינד אַוטפּוץ לויט צו באַניצער ס פּרעדעפינעד כּללים.

פּראָדוקט באַשרייַבונג (5)

דאַטאַ פילטערינג
שטיצט L2-L7 פּאַקאַט פֿילטרירונג וואָס ריכטן זיך, אַזאַ ווי SMAC, DMAC, SIP, DIP, Sport, Dport, TTL, SYN, ACK, FIN, עטהערנעט טיפּ פעלד און ווערט, IP פּראָטאָקאָל נומער, טאָס, אאז"ו ו אויך שטיצט פלעקסאַבאַל קאָמבינאַציע פון ​​אַרויף צו 2000 פֿילטרירונג כּללים.

פּראָדוקט באַשרייַבונג

מאַסע באַלאַנס
סופּפּאָרטעד מאַסע וואָג האַש אַלגערידאַם און סעסיע-באזירט וואָג ייַנטיילונג אַלגערידאַם לויט צו ל2-ל7 שיכטע קעראַקטעריסטיקס צו ענשור אַז די פּאָרט רעזולטאַט פאַרקער דינאַמיש פון מאַסע באַלאַנסינג.

פּראָדוקט באַשרייַבונג (6)

UDF Match
שטיצט די מאַטטשינג פון קיין שליסל פעלד אין דער ערשטער 128 ביטעס פון אַ פּאַקאַט.קאַסטאַמייזד די אָפסעט ווערט און שליסל פעלד לענג און אינהאַלט, און דיטערמאַנינג די פאַרקער רעזולטאַט פּאָליטיק לויט די באַניצער קאַנפיגיעריישאַן

פּראָדוקט באַשרייַבונג (7)

וולאַן טאַגד

פּראָדוקט באַשרייַבונג (8)

וולאַן ונטאַגד

פּראָדוקט באַשרייַבונג (9)

וולאַן ריפּלייסט

שטיצט די מאַטטשינג פון קיין שליסל פעלד אין דער ערשטער 128 ביטעס פון אַ פּאַקאַט.דער באַניצער קענען קאַסטאַמייז די פאָטאָ ווערט און שליסל פעלד לענג און אינהאַלט, און באַשטימען די פאַרקער רעזולטאַט פּאָליטיק לויט די באַניצער קאַנפיגיעריישאַן.

פּראָדוקט באַשרייַבונג (10)

MAC אַדרעס פאַרבייַט
שטיצט די פאַרבייַט פון די דעסטיניישאַן MAC אַדרעס אין דער אָריגינעל דאַטן פּאַקאַט, וואָס קענען זיין ימפּלאַמענאַד לויט די באַניצער 'ס קאַנפיגיעריישאַן

פּראָדוקט באַשרייַבונג (11)

3G/4G מאָביל פּראָטאָקאָל דערקענונג / קלאַסאַפאַקיישאַן
סופּפּאָרטעד צו ידענטיפיצירן רירעוודיק נעץ עלעמענטן אַזאַ ווי (Gb, Gn, IuPS, S1-MME, S1-U, X2-U, S3, S4, S5, S6a, S11, עטק.איר קענען ינסטרומענט פאַרקער רעזולטאַט פּאַלאַסיז באזירט אויף פֿעיִקייטן אַזאַ ווי GTPV1-C, GTPV1-U, GTPV2-C, SCTP און S1-AP באזירט אויף באַניצער קאַנפיגיעריישאַנז.

פּראָדוקט באַשרייַבונג (12)

פּאָרץ געזונט דעטעקשאַן
געשטיצט פאַקטיש-צייט דיטעקשאַן פון די דינסט פּראָצעס געזונט פון די צוריק-סוף מאָניטאָרינג און אַנאַליסיס ויסריכט פארבונדן צו פאַרשידענע רעזולטאַט פּאָרץ.ווען דער דינסט פּראָצעס פיילז, די פאָלטי מיטל איז אויטאָמאַטיש אַוועקגענומען.נאָך די פאָלטי מיטל איז ריקאַווערד, די סיסטעם קערט אויטאָמאַטיש צו די מאַסע באַלאַנסינג גרופּע צו ענשור די רילייאַבילאַטי פון מאַלטי-פּאָרט מאַסע באַלאַנסינג.

פּראָדוקט באַשרייַבונג (13)

וולאַן, MPLS אַנטאַגד
שטיצט די וולאַן, MPLS כעדער אין דער אָריגינעל דאַטן פּאַקאַט איז סטריפּט און רעזולטאַט.

פּראָדוקט באַשרייַבונג (14)

טוננעלינג פּראָטאָקאָל ידענטיפיצירן
סופּפּאָרטעד אויטאָמאַטיש ידענטיפיצירן פאַרשידן טאַנאַלינג פּראָטאָקאָלס אַזאַ ווי GTP / GRE / PPTP / L2TP / PPPOE.לויט די באַניצער קאַנפיגיעריישאַן, די פאַרקער רעזולטאַט סטראַטעגיע קענען זיין ימפּלאַמענאַד לויט די ינער אָדער ויסווייניקסט שיכטע פון ​​דעם טונעל

פּראָדוקט באַשרייַבונג (15)

יונאַפייד קאָנטראָל פּלאַטפאָרם
סופּפּאָרטעד Mylinking ™ וויסיביליטי קאָנטראָל פּלאַטפאָרם אַקסעס

פּראָדוקט באַשרייַבונג (16)

1+1 יבעריק מאַכט סיסטעם (רפּס)
שטיצט 1+1 צווייענדיק רעדונדאַנט מאַכט סיסטעם

5- Mylinking ™ נעטוואָרק טאַפּ טיפּיש אַפּפּליקאַטיאָן סטראַקטשערז

5.1 Mylinking ™ נעטוואָרק טאַפּ היבריד אַקוואַזישאַן אַפּלאַקיישאַן (ווי ווייַטערדיק)

פּראָדוקט באַשרייַבונג4

5.2 Mylinking ™ נעטוואָרק צאַפּן קוסטאָמיזאַטיאָן פאַרקער מאָניטאָרינג אַפּלאַקיישאַן (ווי ווייַטערדיק)

פּראָדוקט-דיסקריפּשאַן 5

6- ספּעסאַפאַקיישאַנז

Mylinking ™ נעץ צאַפּן  נפּב / טאַפּ פאַנגקשאַנאַל פּאַראַמעטערס

נעץ צובינד GE פּאָרץ

24 * GE SFP סלאָץ

10GE פּאָרץ

-

דיפּלוימאַנט מאָדע SPAN מאָניטאָרינג אַרייַנשרייַב

שטיצן

אין-שורה מאָדע

שטיצן

גאַנץ QTYs צובינד

24

פאַרקער רעפּלאַקיישאַן / אַגגרעגאַטיאָן / פאַרשפּרייטונג

שטיצן

לינק QTYs וואָס שטיצן שפּיגל רעפּלאַקיישאַן / אַגגרעגאַטיאָן

1 -> N לינק פאַרקער רעפּלאַקיישאַן (N <24)

N-> 1 לינק פאַרקער אַגגרעגאַטיאָן (N <24)

G Group (M-> N Link) פאַרקער רעפּלאַקיישאַן און אַגגרעגאַטיאָן [G * (M + N) <24]

פאַנגקשאַנז

פאַרשפּרייטונג באזירט אויף פאַרקער לעגיטימאַציע

שטיצן

פאַרשפּרייטונג באזירט אויף IP / פּראָטאָקאָל / פּאָרט פינף טופּלע פאַרקער לעגיטימאַציע

שטיצן

פאַרשפּרייטונג סטראַטעגיע באזירט אויף פּראָטאָקאָל כעדער דער שליסל מיטן נאָמען פאַרקער יידענאַפייד

שטיצן

סטראַטידזשיק פאַרשפּרייטונג באזירט אויף לעגיטימאַציע פון ​​טיף אָנזאָג אינהאַלט

שטיצן

שטיצן עטהערנעט ענקאַפּסולאַטיאָן זעלבסטשטענדיקייַט

שטיצן

קאַנסאָול נעץ מאַנאַגעמענט

שטיצן

IP / וועב נעטוואָרק מאַנאַגעמענט

שטיצן

SNMP V1/V2C נעטוואָרק מאַנאַגעמענט

שטיצן

TELNET/SSH נעטוואָרק מאַנאַגעמענט

שטיצן

SYSLOG פּראָטאָקאָל

שטיצן

באַניצער אָטענטאַקיישאַן פֿונקציע

שפּריכוואָרט אָטענטאַקיישאַן באזירט אויף באַניצער נאָמען

עלעקטריק (1+1 יבעריק מאַכט סיסטעם-רפּס)

רייטאַד צושטעלן וואָולטידזש

AC110-240V/DC-48V [אָפּטיאָנאַל]

רייטאַד מאַכט אָפטקייַט

AC-50HZ

רייטאַד אַרייַנשרייַב קראַנט

AC-3A / DC-10A

רייטאַד מאַכט פֿונקציע

150 וואט (2401: 100 וואט)

סביבה

אַפּערייטינג טעמפּעראַטור

0-50℃

סטאָרידזש טעמפּעראַטור

-20-70℃

אַפּערייטינג הומידיטי

10% -95%, ניט-קאַנדענסינג

באַניצער קאָנפיגוראַטיאָן

קאַנסאָול קאָנפיגוראַטיאָן

RS232 צובינד, 9600,8,N,1

שפּריכוואָרט אָטענטאַקיישאַן

שטיצן

געשטעל הייך

געשטעל פּלאַץ (ו)

1U 460מם*45מם*440מם

7- סדר אינפֿאָרמאַציע

ML-TAP-2401 mylinking ™ נעץ צאַפּן 24 * GE SFP פּאָרץ
ML-TAP-1410 mylinking ™ נעץ צאַפּן 12 * GE SFP פּאָרץ פּלוס 2 * 10GE SFP + פּאָרץ
ML-TAP-2610 mylinking ™ נעץ צאַפּן 24 * GE SFP פּאָרץ פּלוס 2 * 10GE SFP + פּאָרץ
ML-TAP-2810 mylinking ™ נעץ צאַפּן 24 * GE SFP פּאָרץ פּלוס 4 * 10GE SFP + פּאָרץ

FYR: פאַרגלייַך פון פאַרשידענע טייפּס פון ינטערפייסיז פֿאַר אַדינג אָדער סטריפּינג וולאַן טאַגס

ווי יעדער טיפּ פון צובינד כאַנדאַלז דאַטן ראָמען?

צובינד טיפּ

Rx אָנזאָג אָן טאַג פּראָצעס

Rx אָנזאָג מיט טאַג פּראָצעס

טקס ראַם פּראָצעס
אַקסעס צובינד באַקומען די אָנזאָג און אַרייַן די פעליקייַט VLAN ID

• באַקומען די אָנזאָג ווען די וולאַן שייַן איז די זעלבע ווי די פעליקייַט וולאַן שייַן.

• אַוועקוואַרפן דעם טעקסט ווען די וולאַן שייַן איז אַנדערש פון די פעליקייַט וולאַן שייַן.

ערשטער פּאַס די PVID טאַג פון די ראַם און דעמאָלט שיקן עס.
טרונק צובינד

• טיפּ די פעליקייַט וולאַן שייַן און באַקומען די אָנזאָג ווען די פעליקייַט וולאַן שייַן איז אין דער רשימה פון וולאַן ידס וואָס זענען ערלויבט צו פאָרן.

• טיפּ די פעליקייַט וולאַן שייַן און אַוועקוואַרפן די טעקסט ווען די פעליקייַט וולאַן שייַן איז נישט אין דער רשימה פון וולאַן ידס וואָס זענען ערלויבט צו פאָרן.

• באַקומען די טעקסט ווען די וולאַן שייַן איז אין דער רשימה פון וולאַן ידס אַז די צובינד אַלאַוז צו פאָרן.

• אַוועקוואַרפן דעם טעקסט ווען די וולאַן שייַן איז נישט אין דער רשימה פון וולאַן ידס אַז די צובינד אַלאַוז צו פאָרן דורך.

• ווען די וולאַן שייַן איז די זעלבע ווי די פעליקייַט וולאַן שייַן און איז די וולאַן שייַן ערלויבט דורך די צובינד, אַראָפּנעמען די טאַג און שיקן דעם אָנזאָג.

• ווען די וולאַן שייַן איז אַנדערש פון די פעליקייַט וולאַן שייַן און איז די וולאַן שייַן ערלויבט דורך די צובינד, האַלטן די אָריגינעל טאַג און שיקן דעם אָנזאָג.

היבריד צובינד

• טיפּ די פעליקייַט וולאַן שייַן און באַקומען די אָנזאָג ווען די פעליקייַט וולאַן שייַן איז אין דער רשימה פון וולאַן ידס וואָס זענען ערלויבט צו פאָרן.

• טיפּ די פעליקייַט וולאַן שייַן און אַוועקוואַרפן די טעקסט ווען די פעליקייַט וולאַן שייַן איז נישט אין דער רשימה פון וולאַן ידס וואָס זענען ערלויבט צו פאָרן.

• באַקומען די טעקסט ווען די וולאַן שייַן איז אין דער רשימה פון וולאַן ידס אַז די צובינד אַלאַוז צו פאָרן.

• אַוועקוואַרפן דעם טעקסט ווען די וולאַן שייַן איז נישט אין דער רשימה פון וולאַן ידס אַז די צובינד אַלאַוז צו פאָרן דורך.

דער אָנזאָג איז געשיקט ווען די VLAN ID איז די VLAN ID וואָס די צובינד אַלאַוז צו פאָרן דורך.איר קענען נוצן קאַמאַנדז צו שטעלן צי צו שיקן מיט אַ טאַג אָדער נישט.

  • פֿריִער:
  • ווייַטער:

  • שרייב דיין אָנזאָג דאָ און שיקן עס צו אונדז